Sunday, April 21, 2019

SDC constraints


create clock
create_clk  -name  SYSCLK  -period 10 –waveform {0 5}  [get_ports clk]                                    
 (more_about_this_construct)


Setting clock uncertainty

set_clock_uncertainty  2  [get_clocks SYSCLK]                                  (more_about_the_construct)


Setting clock transition

set_clock_transition  -rise  1     [get_clocks SYSCLK]      

set_clock_transition  -fall  1      [get_clocks SYSCLK]      (more_about_the_construct)
 
 

No comments:

Post a Comment