Sunday, April 21, 2019

Clock_uncertainty


set_clock_uncertainty  2  [get_clocks SYSCLK]   


The clock uncertainty is to include clock latency like clock skew, jitter
The value of uncertainty is usually 20% of  clock period
Here SYSCLK is the reference name to “clk” signal of the design

No comments:

Post a Comment