Monday, August 27, 2018

74x139 Behavioral model using if – else statement



74x139   Behavioral model using if – else statement

library IEEE;
use IEEE.std_logic_1164.all;

entity dec74x139 is
port ( G_L    :        in       STD_LOGIC;
                    A, B    :        in       STD_LOGIC;
                    Y0_L, Y1_L, Y2_L, Y3_L:           out     STD_LOGIC);
end dec74x139;

architecture arch6 of dec74x139 is
begin

     process ( G_L, A, B)  
     begin
Y0_L <=  ‘1’; Y1_L <= ‘1’; Y2_L <= ‘1’; Y3_L <=  ‘1’;
if G_L & A & B = “000” then         Y0_L <= ‘0’;
  elsif G_L & A & B = “001” then   Y1_L <= ‘0’;
  elsif G_L & A & B = “010” then   Y2_L <= ‘0’;
  elsif G_L & A & B = “011” then   Y3_L <= ‘0’;
end if;
     end process;

end arch6;

No comments:

Post a Comment