Monday, August 27, 2018

74x139 Behavioral model using case statement



74x139   Behavioral model using case statement

library IEEE;
use IEEE.std_logic_1164.all;

entity dec74x139 is
port ( G_L    :        in       STD_LOGIC;
                    A, B    :        in       STD_LOGIC;
                    Y0_L, Y1_L, Y2_L, Y3_L:           out     STD_LOGIC);
end dec74x139;

architecture arch7 of dec74x139 is
begin

     process ( G_L, A, B)  
     begin
Y0_L <=  ‘1’; Y1_L <= ‘1’; Y2_L <= ‘1’; Y3_L <=  ‘1’;
case (G_L & A & B) is
when “000” => Y0_L <= ‘0’;
          when “001” => Y1_L <= ‘0’;
          when “010” => Y2_L <= ‘0’;
          when “011” => Y3_L <= ‘0’;
          when others => null;
end case;
     end process;

end arch7;

No comments:

Post a Comment